일 | 월 | 화 | 수 | 목 | 금 | 토 |
---|---|---|---|---|---|---|
1 | 2 | 3 | 4 | |||
5 | 6 | 7 | 8 | 9 | 10 | 11 |
12 | 13 | 14 | 15 | 16 | 17 | 18 |
19 | 20 | 21 | 22 | 23 | 24 | 25 |
26 | 27 | 28 | 29 | 30 | 31 |
- K270
- 서울상공회의소
- 블루투스
- 풀와이어링
- 전기기사
- 상공회의소
- 서울기술교육원
- 의공기사
- 서울기술교육센터
- 설계
- 기술교육센터
- STM32
- 아카데미
- 세미콘아카데미
- 로지텍
- 반도체 아카데미
- vlsi
- 세미콘
- 750
- 반도체
- 필기
- SSD
- DIY
- harman
- 레오폴드
- PCB
- Verilog
- 9월
- 3월
- 하만
- Today
- Total
목록전체 글 (247)
Kraklog
프로그램 : Quaturs Prime lite edition 18.1 사용문법 : Verilog 2001 보드 : DE1-SOC module part1 ( input [9:0] SW , //slide switch output [9:0] LEDR //redLEDs ); assign LEDR=SW; endmodule 스위치에 LED를 할당하여 output
와이어링을 통해 디버깅을 해주었던 기판을 조금 더 깔끔하게 작업하기 위해서 구리 테이프로 길을 다시 열어주고, 패턴을 끊어 작업하였다. 이 과정중에 불량 기판에 작업중이었음을 발견하여 새로운 기판으로 작업하였다. 오실레이터 부분의 패드의 크기가 맞지 않는 부분이 있어 조금 더 확장을 해주었고, 리셋스위치 버튼과 기타 다른 파츠들의 간단한 디버깅을 해주었다. 디버깅을 하기 위해 회로도 기판을 프린팅하여 작업 포인트럴 설정하였고, 부품들을 실장해주었다. 부품 실 장과 디버깅을 하면서 틈틈이 멀티미터를 통해 제대로 연결되고 있는지 확인을하여ㅑㅆ고, 무사히ㅣ 정상 아두이노를 통해 ATmega 328에 부트로더를 빌드해준다. 16U2에도 따로 빌드를 해주어야 USB 통신이 가능해진다. 부트로더를 통해 업로드 후,..
유튜브 채널 : https://www.youtube.com/@rooto2784/videos Chang Uk Park www.youtube.com [Verilog] 프로젝트 실습 '[Harman] 하만 반도체 설계/VerilogHDL' 카테고리의 글 목록 잡학다식 krakens.tistory.com [Nios II 임베디드 ] 프로젝트 실습 '[Harman] 하만 반도체 설계/NiosII' 카테고리의 글 목록 잡학다식 krakens.tistory.com [개인 실습 및 프로젝트] 'Study' 카테고리의 글 목록 잡학다식 krakens.tistory.com [Full Custom One Chip] 프로젝트 실습 '[Harman] 하만 반도체 설계/Full Custom One Chip' 카테고리의 글 목록 ..
module shift ( input [1:0]KEY, output [6:0] LEDR ); wire clk = KEY[1]; wire rst_n = KEY[0]; reg [6:0] ledr; reg [4:0] clk_count; parameter clkk=10; always @(posedge clk or negedge rst_n) if (!rst_n) begin clk_count
원래부터 개조 목적으로 구매한 레오폴드 900R 판매자가 물을 쏟았다고 했는데.. 정작 뜯어보니 음료수로 인한 오염이 의심되어 있었고 스위치가 안눌리는 것 (이건 애초에 흑축으로 바꿀 예정이였어서 문제 없음), 보강판에 녹이 있는것, 기판에도 끈쩍거리는게 많이 묻어있고, 외장재에도 묻어있다는게 문제였다. 기판은 정상 작동을 하고 있었지만, 깨끗이 세척을하고, 패턴을 끊어준 뒤 핫스왑 소켓을 달아주었다. 570을 개조할때 핀과 핀에 직접 연결을 했었는데 가끔 패턴이 덜 끊겨 디버깅을 해야하는 불편함을 방지하며 나중에라도 스위치를 교체할때 좀 더 편하게 하기 위해서이다. (자세한 내용은 추후에 작성 예정) 보강판은 녹문제 뿐만 아니라 방청 도색이 벗겨져 있는 상태였는데, 집에 갖고 있는 사포에 물을 묻혀서 ..
개발보드 : DE1-SOC 사용툴 : Quarturs Prime lite edition , Eclipse for nios module PWMCtrl ( input clk , input rst , output led ); reg [15:0] counter0; always @(negedge rst | posedege clk) begin counter0