목록서울기술교육원 (18)
Kraklog
Avalon bus를 활용해 pwm ip를 설계하는것이 목표. Avalon Interface -master 와 slave간 상호 동작을 나타낸 폼 -slave가 보내는 신호는 wait request, readdata 그 외에는 master에서 보내는 신호 -byteenable은 내가 보내는 data 중 일부만 보내고 싶을때 data를 선택하게 한다. `timescale 1ns / 1ns `define APWM module avalon_module ( input clk , input rst , input mp_waitR, //Avalon bus input [31:0]mp_rData, output reg [31:0]mp_addr , output reg [ 3:0] mp_bEn , output reg mp_r..
키르히호프 법칙 제 1법칙 - 어떤 회로망의 접속 node(점)에 유입되는 전류의 합은 나가는 전류의 합과 같다. 제 2법칙 - 폐회로에서 각 부품에 걸리는 전압의 총합은 0이 된다. 회로해석 : I1은 전류원이기 때문에, 등가내부저항은 ∞Ω이며 전압을 결정할 수 없어 주변 회로에서 결정이 된다. 따라서 R1에 걸리는 전압을 보면 된다. R1의 걸리는 전압은 1㏀ * 3mA 이므로 3V이다. 따라서 가운데 node를 Va라고 한다면 Va to gnd 는 3V로 계산이 된다. R2의 걸리는 전류는 Ir2 = (Va-gnd)/r2 = (3-0)/3k = 1mA가 된다. R3, R4도 마찬가지이다. 회로해석 : V1은 전압원이기 때문에 등가내부저항은 0Ω이며, 전류를 결정 할 수 없어 주변회로에서 결정이 된다..
반도체 공정 용어 : Fabrication : 제작, 제조로 쓰이며 FAB in 이라는 축약어로 사용된다. QC : Quality Control - 품질관리 QA : Quality Assurance - 품질 보증 Yiedl - 수율 Foundary - fab, Semiconductor + Fabrication plant Fab less - Design House - Front End Dip type - Dunal in line Package - 일반적으로 사용했던 저항에서 주로 볼 수 있음 SMD type - Surface mounted device - PCB 납 마스크에 올려서 납땜해서 쓰이는 타입. (진짜 시작) 전류(Current) 전하의 이동 또는 흐름, 단위시간 1초 동안 이동한 전하량 단위 :..
Avalon Bus 설계 `timescale 1ns / 1ns module avalon_module ( input clk , input rst , input mp_waitR, //Avalon bus input [31:0]mp_rData, output reg [31:0]mp_addr , output reg [ 3:0] mp_bEn , output reg mp_rD, output reg mp_wR , output reg [31:0]mp_wData ); parameter FF = 1; initial begin mp_addr =32'hx; //unknown value mp_bEn =4'bx; mp_rD =1'b0; mp_wR =1'b0; //mp_waitR =; //mp_rData =; mp_wData =32'..
PWM : Pulse Width Modulation, 펄스의 폭을 제어하는 주기 제어방법 New Component - Files (tab) - Add File - Analyze Synthesis 이때 에러는 나오는 에러는 지금은 무시한다. module avalon_pwm ( clk, wr_data, wr_n, addr, clr_n, rd_data, pwm_out ) ; input clk; input [31:0] wr_data; input wr_n; input addr; input clr_n; output [31:0] rd_data; output [7:0] pwm_out; reg [7:0]div3, div2, div1, div0; reg [7:0]duty3, duty2, duty1, duty0; reg [..
사용프로그램 : Vivado 사용보드 : BASYS 3 -BASYS3 보드를 선택하기위해서 VIVADO에서 보드 정보를 INSTALL해주면 되지만, 간혹 INSTALL 버튼이 없을 경우 직접 설치하면 되는데 C:\Xilinx\Vivado\(해당버전)\data\boards path에 보드 파일(링크)을 넣어주면 된다. 1. Half Adder - Layout 및 시뮬레이션 (링크) 식 : - Schematic input으로 A,B를 선언해주고, Sum을 처리하기 위해 A xor B를 할당해주고, Carry 를 처리하기 위해 A and B를 할당해준다. 출력 값으로 Sum과 Carry를 선언해주면 완료. - Code `timescale 1ns/1ps module HalfAdder ( input i_a, in..