Kraklog

Day18. my_rotate 본문

[Harman] 하만 반도체 설계/VerilogHDL

Day18. my_rotate

Krakens 2023. 7. 28. 08:28
728x90

프로그램 : Quaturs Prime lite edition 18.1

사용문법 : Verilog 2001

보드 : DE1-SOC

 

module tb_my_rotate();

	 reg 					rotate	;
	 reg		[15:0] 		data 	;
	 reg					tmp		;
	 
	 always @(*) begin
		if(rotate) begin//if rotate==1
			repeat (8) begin
				tmp = data[15];
				data = {data[14:0], tmp};
			end
		end else begin
			data = data;
		end
	 end
	 
	 initial begin 
			rotate = 1'b0;
			data = 16'hFFAA;
			#100;
			rotate = 1'b1;
			data = 16'hFFAA;
			#100;
	end
	 
endmodule

test bench만 있기때문에 시뮬레이션만 가능하다.

rotate 된 wave form

 

728x90

'[Harman] 하만 반도체 설계 > VerilogHDL' 카테고리의 다른 글

Day21. 4bit 7-segment, 16bit register, 2bit Counter  (0) 2023.07.28
Day20. 7-segment  (0) 2023.07.28
Day19. Function Counter  (0) 2023.07.28
Day17. Mux4, Shifter  (0) 2023.07.25
Day15~16. Verilog 시작 /Adder, 4x4 mult  (0) 2023.07.25