Kraklog

Nios Processor 본문

[Harman] 하만 반도체 설계/NiosII

Nios Processor

Krakens 2023. 7. 28. 08:29
728x90

EX1) 환경설정

 

Quartus - Platform Designer - niosii.qsys  

 

Nioss II Processor를 검색해주고 추가해주되, Nios II economy를 선택해준다.

 

빌드 요소

clk_50 : 50Mhz를 의미함.

pl_qsys : 100Mhz 로 동작, 

error, warning은 최종적으로 셋팅해주었을때 해결되면 된다.

 

nios2_gen2_0 을 F2를 눌러 cpu로 재명명해준다.

pll_qsys 에 연결해준다.

CPU의 Data , Instruction, interface는 Avalon MM 이다. 

 

CPU data 에 data, Instruction, interface가 들어가있기때문에 sytem peripheral의 control slave를 cpu data_master에 연결해준다.

sys_clk_timer로 명명해주고 clk -> pll_qsys, s1-> cpu.data_master에 연결해준다.

다시 interval timer ip를 추가해주고 unit을 us로 변경,

마찬가지로 설정해준다.

최종적으로 data_master는 이렇게 연결된다.

create global reset network를 눌러 리셋신호들을 잡아준다.

Assign base addrress 를 통해 중복된 주소값들을 정리해준다.

 

jtag_uart, sys_clk_timer, high_res timer 의 irq를 연결해준다.

연결 후 IRQ 의 Value 를 바꿔준다. key :3 , uart :2 sys_clk_timer : 0 , high_res_timer :1 의 ㄱ값을 넣어줬다.
각 값은 우선 순위를 나타내준다.

 

파이프라이닝을 3으로 지정해주고

저장

Generate를 눌러주고 block symbol file 만들기를 체크해제 해준다.

 

다시 컴파일링

업로드 완료

 

simple.c 파일을 niosII_trainig_projeect에 복사

Simple 이라는 단어는 보이지만, LED가 정상 동작을하지 않기 때문에 debug를 해준다.

 

 

 

 

location, I/O Standard의 pin을 다시 잡아준다.

 

 

컴파일도중 에러

 

핀의 전원이 중복해서 들어오기 때문에 생기는 에러

 

전부 3.3V LVCMOS로 변경

 

다시 Run AS

 

결과 

 

728x90

'[Harman] 하만 반도체 설계 > NiosII' 카테고리의 다른 글

Avalon PWM, PWM Interrupt  (0) 2023.09.08
Avalon BUS + reg 설계  (0) 2023.08.28
PWM / CRC  (0) 2023.08.28
SoC sytem  (0) 2023.07.28
Day25. Simple UART TX & RX  (0) 2023.07.28